메뉴 English Ukrainian 러시아인 홈

애호가 및 전문가를 위한 무료 기술 라이브러리 무료 기술 라이브러리


라디오 전자 및 전기 공학의 백과사전
무료 도서관 / 무선 전자 및 전기 장치의 계획

XILINX의 최신 FPGA: VIRTEX 시리즈. 참조 데이터

무료 기술 라이브러리

무선 전자 및 전기 공학 백과사전 / 미세 회로의 응용

 기사에 대한 의견

2014년에는 미국 기업 Xilinx가 창립 30주년을 맞았습니다. 1984년에 이미 존재의 초기 단계에서 이 회사는 사용자가 다시 프로그래밍할 수 있는 기본 매트릭스 결정(Field Programmable Gate Array 또는 FPGA)과 같은 새로운 유형의 논리 회로를 제안했습니다. IC는 전자 설계자에게 표준 기본 매트릭스 결정의 이점을 제공하는 동시에 작업 현장에서 IC의 설계, 구성, 디버깅, 버그 수정 및 재구성을 허용합니다. 결과적으로 장치의 유연성이 향상되고 완제품의 출시 시간이 크게 단축되었습니다. 현재까지 Xilinx의 성과는 무엇입니까?

소개

현재 Xilinx는 여러 FPGA 시리즈를 출시합니다. FPGA(사용자 재프로그래밍 가능한 기본 매트릭스 결정)와 CPLD(복합 프로그래밍 가능 논리 장치) - 복잡한 프로그래밍 가능 논리 장치로 구분됩니다. 각 시리즈에서 - 용량, 속도 및 패키지 유형이 다른 마이크로 회로를 차례로 포함하는 하나에서 여러 제품군까지(그림 참조). Xilinx FPGA의 주요 기능(2004년 초 기준):

• 상당한 양의 리소스: 칩당 천만 개 이상의 시스템 게이트;
• 고성능: 400MHz 이상의 시스템 주파수;
• 유망한 제조 기술: 최대 90nm의 토폴로지 표준, 구리를 포함한 XNUMX층 금속화;
• 내부 분산 및 블록 RAM, 빠른 전송 논리, XNUMX차 상태 내부 버퍼 등 다양한 시스템 기능을 갖춘 매우 유연한 아키텍처.
• JTAG를 통한 초기화 및 검증 가능성;
• 시스템에서 직접 프로그래밍할 수 있는 가능성;
• 광범위한 제품: 대규모 논리 프로젝트를 구현하기 위한 저렴하고 상대적으로 단순한 마이크로 회로부터 고속 디지털 신호 처리 도구, 새로운 유형의 프로세서, 컴퓨팅 장치 등의 모델링 및 프로토타이핑을 만들기 위한 매우 복잡한 마이크로 회로에 이르기까지;
• 짧은 디자인 주기와 짧은 컴파일 시간;
• 저렴한 디자인 도구(무료 포함).

Xilinx는 세 가지 유형의 메모리를 기반으로 FPGA를 생산합니다.

• SRAM(FPGA 유형). 이 경우 회로 구성은 내부 "쉐도우" RAM에 저장되고 초기화는 외부 메모리 어레이에서 수행됩니다. 구성 시퀀스(비트스트림)는 시스템의 FPGA에 직접 로드할 수 있으며 무제한으로 다시 로드할 수 있습니다. FPGA의 초기화는 공급 전압이 적용되거나 특수 신호에 의해 강제될 때 외부 부트 ROM에서 자동으로 수행됩니다. 초기화 프로세스는 20-200ms가 소요되며, 그 동안 FPGA 핀은 높은 저항 상태(논리적 장치로 풀링됨)에 있습니다. 이 유형의 FPGA에는 Virtex, Spartan 시리즈의 마이크로 회로가 포함됩니다.
• 플래시 메모리. 구성은 내부 비휘발성 플래시 메모리에 저장되며 JTAG 포트를 통해 PC에서 언제든지 직접 덮어쓸 수 있으므로 프로그래머가 필요하지 않습니다. JTAG를 통해 회로의 내부 테스트도 제공됩니다. 이 기술은 XC9500 제품군의 CPLD에 사용됩니다.
• EEPROM. 이러한 FPGA에서 구성은 내부 비휘발성 EEPROM에 저장되며 언제든지 PC에서 직접 덮어쓸 수 있습니다. CoolRunner 제품군의 CPLD는 이 기술을 사용하여 만들어집니다.

디버그 단계에서 구성은 MultiPRO Desktop Tool, Parallel Cable IV 및 MultiLinx Cable의 세 가지 유형의 케이블을 사용하여 컴퓨터에서 다운로드할 수 있습니다. 모든 케이블은 JTAG 포트가 없는 CPLD 칩 프로그래밍을 지원합니다. 케이블을 선택할 때 다음과 같은 특성을 고려해야 합니다.

MultiPRO 데스크탑 도구 PC 병렬 포트에 연결하고 모든 Xilinx FPGA의 시스템 내 프로그래밍/구성을 지원하며 CoolRunner-ll 제품군 FPGA와 XC18V00 및 PlatformFlash 시리즈 PROM의 오프라인 프로그래밍을 지원합니다. 동시에 프로그래머 자체와 다운로드 케이블의 한 세트에 존재함으로써 디버깅 및 프로그래밍을 위한 도구 세트의 비용을 줄일 수 있었습니다.

병렬 케이블 IV PC 병렬 포트에 연결하고, FPGA 부팅 및 CPLD 프로그래밍을 지원하고, JTAG 포트를 통한 구성 리드백을 지원합니다. 공급 전압은 외부 5V 소스에서 공급됩니다. 케이블 배송에는 컴퓨터의 PS / 2 포트에서 케이블에 전압을 공급하도록 설계된 어댑터가 포함됩니다.

멀티링크스 케이블 PC 또는 워크스테이션의 RS-232 포트와 PC의 USB 포트에 연결합니다. 공급 전압(5, 3,3, 2,5V)은 보드에서 공급됩니다.

XILINX의 최신 FPGA: VIRTEX 시리즈. 참조 데이터. 자일링스 FPGA
쌀. 1. 자일링스 FPGA

Xilinx는 출시된 FPGA를 기반으로 프로젝트를 구현할 수 있는 완전한 소프트웨어 세트를 제공합니다. 이 소프트웨어에는 회로도 및 텍스트 입력, VHDL/Verilog 합성, 기능 시뮬레이션, 크리스탈 트레이서, 추적 후 시뮬레이션 등이 포함됩니다. 또한 Xilinx는 FPGA 기반 장치를 설계할 때 라이브러리 요소로 사용할 수 있는 소위 논리 코어라고 하는 특수 모듈을 개발합니다.

최신 XILINX 마이크로 회로의 간략한 분류

현재까지 다음 Xilinx FPGA가 가장 유망합니다.

• FPGA 시리즈 Virtex;
• Spartan 제품군(공급 전압 5V) 및 Spartan-XL(3,3V)의 칩을 제외한 Spartan 시리즈의 FPGA;
• CPLD XC9500 시리즈;
• CoolRunner-ll 시리즈 CPLD.

현재 새로 개발 중인 다른 Xilinx FPGA 시리즈의 사용은 권장되지 않습니다. 따라서 우리는 그것들을 고려하지 않을 것입니다.

버텍스 시리즈

FPGA 시리즈에는 Virtex, Virtex-E, Virtex-ll 및 Virtex-ll Pro의 1998가지 제품군이 있습니다. XNUMX년 후반에 출시된 Virtex 시리즈는 고성능 시스템 설계 문제를 해결하기 위해 강력한 기능 세트로 기존 FPGA 유형 FPGA를 확장했습니다. 시리즈의 FPGA 칩은 프로그래밍 가능한 I/O 블록(입력-출력 블록 - SE)으로 둘러싸인 구성 가능한 논리 블록(구성 가능한 논리 블록 - CLB)의 매트릭스로 구성된 유연한 아키텍처가 특징입니다. 고속 산술을 위한 전용 오버드라이브 로직, 전용 승수 지원, 고입력 기능을 위한 캐스케이드 가능 체인, 동기/비동기 리셋 및 세트가 있는 다중 클록 지원 레지스터/래치, 내부 XNUMX상태 버스는 속도와 로직 패킹 밀도의 균형을 유지합니다.

직렬 마이크로 회로의 메모리 요소의 계층적 시스템에는 다음이 포함됩니다. 4비트 RAM 또는 16비트 시프트 레지스터로 구성된 16입력 조회 테이블(0,22-LUT - 조회 테이블)을 기반으로 하는 분산 메모리 내장 블록 메모리(각 블록은 동기식 듀얼 포트 RAM으로 구성됨) 및 외부 메모리 모듈에 대한 인터페이스. 시리즈의 FPGA는 대부분의 I/O 표준(SelectIO™ 기술)을 지원하고 이후 제품군의 FPGA는 LVDS(Low-Voltage Differential Signaling), BLVDS(버스 LVDS), LVPECL(Low-Voltage Positive Emitter- 결합 논리). 고속 타이밍 제어 회로가 내장되어 있습니다. 설계는 PC 또는 워크스테이션에서 실행되는 ISE(통합 소프트웨어 환경) 소프트웨어 패키지인 ISE BaseX, ISE Foundation, ISE Alliance를 사용하여 수행됩니다. Virtex 시리즈의 칩은 0,15-100 미크론의 토폴로지 표준 및 다층 금속화로 생산됩니다. 시리즈의 모든 초소형 회로는 XNUMX% 공장 테스트를 거쳤습니다.

Virtex 시리즈에 포함된 주요 마이크로 회로 제품군을 자세히 살펴보겠습니다.

버텍스 패밀리 -이 유형의 첫 번째 FPGA가 1984년에 출시된 후 XNUMX세대 FPGA 칩. FPGA 마이크로 회로 제품군은 처음으로 일반 논리 기능뿐만 아니라 별도의 특수 제품에서 수행되는 작업도 구현할 수 있게 되었습니다. Virtex 제품군의 출현으로 FPGA는 논리 회로를 상호 연결하는 범주에서 디지털 시스템의 중심 역할을 하는 프로그래밍 가능한 장치 범주로 이동했습니다.

Virtex FPGA 제품군의 주요 기능은 다음과 같습니다. 고성능(최대 200MHz), 대용량 논리 용량(50-1만 시스템 게이트), 코어 공급 전압 2,5V, 66MHz PCI 버스와의 호환성, 지원 Compact PCI용 "핫 스왑" 기능(표 1). 제품군 칩은 LVTTL, LVCMOS16, PCI2, PCI33, GTL/GTL+, SSTL, HSTL, AGP, CTT를 비롯한 66개의 고성능 I/O 표준과 KZBTRAM 장치에 대한 직접 연결을 지원합니다. 내장 클록 제어 회로에는 24개의 내장 DLL 지연 잠금 루프 모듈과 4개의 로컬 클록 네트워크와 에지 시간이 짧은 128개의 광역 클록 분배 네트워크가 포함됩니다. 온보드 메모리의 각 블록은 동기식 듀얼 포트 XNUMXKb RAM(최대 총 용량 XNUMXKb)으로 구성됩니다.

표 1. Virtex 제품군의 미세 회로 매개 변수

매개 변수 XCV50 XCV100 XCV150 XCV200 XCV300 XCV1000 XCV1000 XCV800 XCV150
매트릭스 KLB 16 X 24 20 X 30 24 X 36 28 X 42 32 X 48 40 X 60 48 X 72 56 X 84 64 X 96
논리 셀 수 1728 2700 3888 5292 6912 10800 15552 21168 27648
시스템 밸브 수 57906 108904 164674 236666 322970 468252 661111 888439 1124022
블록 메모리 크기, 비트 32768 40960 49152 57344 65536 81920 98304 114688 131072
분산 메모리 양, 비트 24576 38400 55296 75264 98304 153600 221184 301056 393216
DLL 요소 수 4
지원되는 I/O 표준 수 17
스피드 그라데이션, 클래스 4,5,6
사용자 연락처 수, 최대 (MCPC) 180 180 260 284 316 404 512 512 512
CS144 케이스의 MChPK(12x12mm) 94 94 _ _ _ _ _ _ _
TQ144(20x20mm) 98 98 - - - - - - -
PQ240/HQ240(32x32mm) 166 166 166 166 166 166 166 166 -
BG256(27x27mm) 180 180 180 180 - - - - -
BG352(35x35mm) - - 260 260 260 - - - -
BG432(40x40mm) - - - - 316 316 316 316 -
BG560(42,5x42,5mm) - - - - - 404 404 404 404
FG256(17x17mm) 176 176 176 176 - - - - -
FG456(23x23mm) - - 260 284 312 - - - -
FG676(27x27mm) - - - - - 404 444 444 -
FG680(40x40mm) - - - - - - 512 512 512

제품군의 초소형 회로는 0,22층 금속화로 XNUMX미크론 CMOS 기술에 따라 제조됩니다.

버텍스-E 제품군, 1999년 320월에 이미 출시된 이 제품은 특성과 특성 면에서 특수 ASIC에 필적합니다. FPGA 칩 제품군은 데이터 교환 및 디지털 신호 처리 시스템용으로 설계되었습니다. 첫 번째 제품군의 초소형 회로와 비교하여 더 높은 성능(최대 2MHz의 시스템 주파수)과 더 큰 논리 용량(2백만 개 이상의 시스템 게이트, 표 32)이 특징입니다. 이전 제품군과 마찬가지로 SelectIO™ 기술은 처음으로 LVDS, BLVDS, LVPECL과 같은 차동 전송 표준을 포함하여 여러 I/O 표준을 지원합니다. 제품군의 칩은 64/33비트, 66/1,8MHz PCI를 지원합니다. 코어 공급 전압은 8,75V입니다. 계층적 1120레벨 메모리 시스템은 이전 제품군과 구조가 동일합니다. 그러나 블록 메모리의 최대 용량은 최대 200kbit까지 200배 증가했습니다. XNUMXMHz ZBTSRAM 및 XNUMXMbps DDR SDRAM과 같은 외부 고성능 RAM에 대한 빠른 인터페이스도 있습니다.
Virtex-E 칩 제품군의 등장은 0,22μm CMOS 기술에서 0,18층 금속화로의 전환과 XNUMXμm 공정 및 XNUMX층 금속화로 가능했습니다.

따라서이 제품군의 미세 회로에서는 Virtex와 비교하여 다음이 증가합니다.

• 동등한 논리적 용량(XNUMX배);
• 지원되는 I/O 표준 수(17에서 20까지);
• 최대 사용자 입출력 접점 수(1,5배, 512에서 804로);
• I/O 장치의 성능(1,5배 - 200에서 320MHz까지);
• 내장된 대기 시간 자동 조정 모듈의 수 - DLL 모듈(XNUMX개 - XNUMX개에서 XNUMX개);
• 사용자 I/O 블록 수(최대 560).

표 2. Virtex-E 제품군 칩 매개변수

매개 변수 XCV50E XCV100E XCV200E XCV300E XCV400E XCV600E XCV200E XCV600E XCV300E
매트릭스 KLB 16 X 24 20 X 30 28 X 42 32 X 48 40 X 60 48 X 72 64 X 96 72 X 108 80 X 120
논리 셀 수 1728 2700 5292 6912 10800 15552 27648 34992 43200
시스템 밸브 수 71693 128236 306393 411955 569952 952 1569178 2188742 2541952
블록 메모리 크기, 비트 65536 81920 114688 131072 163840 294912 393216 589824 655360
분산 메모리 양, 비트 24576 38400 75264 98304 153600 221184 393216 497664 614400
DLL 수 8
지원되는 I/O 표준 수 20
스피드 그라데이션, 클래스 6,7,8
최대 사용자 연락처 수(MPPC) 176 176 284 316 404 512 660 724 804
CS144 케이스의 MChPK(12x12mm) 94 94 94 _ _ _ _ _ _
PQ240/HQ240(32x32mm) 158 158 158 158 158 158 158 - -
BG352(35x35mm) - 196 260 260 - - - - -
BG432(40x40mm) - - - 316 316 316 - - -
BG560(42,5x42,5mm) - - - - - - 404 404 404
FG256(17x17mm) 176 176 176 176 - - - - -
FG456(23x23mm) - - 284 312 - - - - -
FG676(27x27mm) - - - - 404 444 - - -
FG680(40x40mm) - - - - - 512 512 512 512
FG860(42,5x42,5mm) - - - - - - 660 660 660
FG900(31x31mm) - -
-
- - 512 660 700 -
FG1156(35x35mm) - -
-
- - - 660 724 804

많은 고성능 네트워킹 및 이미징 시스템에는 많은 양의 RAM이 필요합니다. 이에 대응하여 Xilinx는 2000년 초에 Virtex-E 제품군의 더 큰 메모리 버전인 Virtex-EM(XCV504E 및 XCV812E)을 출시했습니다.

표 3. Virtex-EM 제품군의 블록 메모리 용량이 증가한 마이크로칩의 매개변수

매개 변수 XCV405E XCV812E
매트릭스 KLB 40 X 60 56 X 84
논리 셀 수 10 800 21168
시스템 밸브 수 1373634 2348810
블록 메모리 크기, 비트 573440 1146880
분산 메모리 양, 비트 153600 301056
DLL 수 8 8
지원되는 I/O 표준 수 20 20
스피드 그라데이션, 클래스 6,7,8 6,7,8
ICPC 404 556
BG560 패키지의 MChPK(42,5x42,5mm) 404 -
FG676(27x27mm) 404 -
FG900(31x31mm) - 556

이러한 마이크로 회로는 전송 속도가 160Gbit/s인 스위칭 시스템을 구축하기 위한 효율적이고 안정적인 플랫폼입니다(표 3). 높은 처리량은 1포트 블록 메모리의 크기를 XNUMXMbit로 늘리고 구리 기술을 사용하여 만든 XNUMX층 금속화에서 XNUMX개의 층(상부 및 클록 신호 분배)을 사용하여 달성되었습니다.

버텍스 II 제품군 FPGA 플랫폼의 형성을 위한 새로운 이념을 구현하여 FPGA가 디지털 장치의 주요 구성 요소가 되도록 합니다. Virtex-ll 제품군의 한 칩에서 최대 8백만 시스템 게이트의 논리적 용량을 가진 복잡한 디지털 시스템을 만들 수 있습니다. 동시에 동일한 기능의 맞춤형 집적 회로와 비교하여 개발 시간이 크게 단축됩니다. Virtex-ll 제품군에는 논리적 용량이 다른 11개의 미세 회로가 포함되어 있습니다(표 4).

표 4. Virtex-ll 제품군 FPGA의 주요 매개변수

매개 변수 XC2V40 XC2V80 XC2V250 XC2V50 XC2V1000 XC2V1500 XC2V2000 XC2V3000 XC2V4000 XC2V6000 XC2V8000
시스템 밸브 수 40 80 250 500 1 M 1,5 M 2 М 3 М 4 М 6 M 8 М
매트릭스 KLB 8 X 8 16 X 8 24 X 16 32 X 24 40 X 32 48 X 40 56 X 48 64 X 56 80 X 72 96 X 88 112 X 104
논리 셀 수 576 1152 3456 6912 11520 17280 24192 32256 51840 76032 104832
KLB의 레지스터 수 512 1024 3072 6144 102430 15360 21504 28672 46080 67584 93184
분산 메모리 양, kbps 8 16 48 96 160 240 336 448 720 1056 1456
블록 메모리 크기, kbps 72 144 432 576 720 864 1008 1728 2160 2592 3024
승수 18x18 4 8 24 32 40 48 56 96 120 144 168
DCM 수 4 8 8 8 8 8 8 12 12 12 12
클록 주파수 DCM, MHz, 최소/최대. 24/420 24/420 24/420 24/420 24/420 24/420 24/420 24/420 24/420 24/420 24/420
스피드 그라데이션, 클래스 4,5,6
ICPC 88 120 200 264 432 528 624 720 912 1 104 1 108
차동 쌍 44 60 100 132 216 264 312 360 456 552 554
CS144 케이스의 MChPK(12x12mm) 88 92 92 - - - - - - - -
BG575(31x31mm) - - - - 328 392 - - - - -
BG728(35x35mm) - - - - - - - 516 - - -
FG256(17x17mm) 88 120 172 172 172 - - - - - -
FG456(23x23mm) - - 200 264 324 - - - - - -
FG676(27x27mm) - - - - - 392 456 484 - - -
FF896(31x31mm) - - - - 432 528 624 - - - -
FF1152(35x35mm) - - - - - - - 720 824 824 824
FF1517(40x40mm) - - - - - - - - 912 1104 1108
BF957(40x40mm) - - - - - - 624 684 684 684 -

이 제품군은 데이터 통신 장치 및 디지털 신호 처리 장치와 같은 광범위한 저밀도 및 고집적 고성능 시스템의 설계에 적합합니다. Virtex-ll 제품군의 칩은 통신, 네트워크 시스템, 무선 통신, PCI, LVDS 및 DDR 인터페이스를 사용하는 디지털 신호 처리 분야에서 완벽한 솔루션을 구현합니다. 이러한 솔루션의 예는 PowerPC 405 및 MicroBlaze 프로세서의 구현입니다. 0,12-0,15 미크론의 토폴로지 표준과 XNUMX개의 금속층을 갖는 미세 회로 생산에 사용되는 CMOS 기술은 고속 및 저전력 소비로 프로젝트를 구현할 수 있게 해줍니다.

Virtex-ll 제품군의 미세 회로의 논리적 용량은 칩의 40-8만 시스템 게이트이고 내부 클록 주파수는 400MHz를 초과하고 데이터 교환 속도는 하나의 입출력 핀당 840Mbps 이상입니다. 분산 메모리의 양은 1,5Mbit에 달하며, 각각 18kbit 용량의 듀얼 포트 RAM 블록에 구현된 내장 메모리는 3Mbit입니다. DDR-SDRAM, QDR™-SRAM 및 Sigma RAM과 같은 외부 메모리 모듈에 대한 인터페이스가 제공됩니다.

제품군 초소형 회로에는 18x18비트 곱셈기 블록, 클록 활성화 및 동기/비동기 재설정 및 설정이 있는 최대 93184 레지스터/래치, 93184 함수 발생기(4-LUT)가 포함되어 있습니다. 타이밍 제어는 최대 12개의 DCM(타이밍 제어 모듈)과 16개의 글로벌 클록 멀티플렉서에 의해 제공됩니다. 클록 에지, 주파수 증배, 주파수 분할, 고해상도 위상 편이 및 EMI 보호의 미세 조정을 제공합니다.

사용된 Active Interconnect 기술을 사용하면 출력 팬아웃 요인에 의존하지 않는 예측 가능한 지연으로 XNUMX세대 세그먼트 라우팅 구조를 얻을 수 있습니다.

최대 1108개의 사용자 프로그래밍 가능한 I/O 블록, 19개의 단극 및 840개의 차동 I/O 표준이 대부분의 디지털 신호 표준을 지원합니다. 내장된 이중 데이터 속도 입력 및 출력 레지스터는 2Mbps에서 LVDS 신호를 제공합니다. 프로그래밍 가능한 전류 용량 - 출력당 24-XNUMXmA.

각 I/O 블록의 임피던스는 프로그래밍 가능합니다. Virtex-ll 칩은 PCI-133/66/33MHz 버스와 호환됩니다. 1532가지 구성 로딩 모드가 있습니다. 구성 시퀀스의 암호화는 IEEE 1,5 표준에 따라 TRIPLE DES 표준, 구성 지원에 따라 수행되며 부분 재구성이 가능합니다. 수정 코어의 공급 전압은 프로그래밍된 신호 표준에 따라 1,5V, I/O 차단 - 3,3-XNUMXV입니다.

칩은 0,15μm(고속 트랜지스터의 채널 길이는 0,12μm)의 설계 표준과 XNUMX개의 금속층을 갖는 CMOS 기술을 사용하여 제조됩니다.

Virtex-ll Pro 제품군 지능형 IP 코어 및 맞춤형 매개변수화 모듈을 기반으로 시스템을 생성하도록 설계되었습니다. 제품군의 초소형 회로는 통신, 무선 통신, 네트워킹, 비디오 및 디지털 신호 처리 분야의 완전한 솔루션 구현에 최적화되어 있습니다. 칩 아키텍처는 처음으로 RocketIO 다중 비트 트랜시버와 PowerPC 프로세서 코어를 특징으로 합니다. 그들은 0,13 미크론의 토폴로지 표준과 XNUMX층 구리 금속화로 CMOS 기술을 사용하여 제조되어 이전 시리즈의 칩과 비교하여 결정의 크기와 전력 소비를 줄일 수 있었습니다.

표 5. Virtex-ll Pro 제품군 FPGA의 주요 매개변수

매개 변수 XC2VP2 XC2VP4 XC2VP7 XC2VP20 XC2VP30 XC2VP40 XC2VP50 XC2VP70 XC2VP100 XC2VP125
내장 RocketIO 블록 수 4 4 8 8 8 0, 12 0,16 16,2 0,2 0, 20, 24
PowerPC 코어 수 0 1 1 2 2 2 2 2 2 4
매트릭스 KLB 16 X 22 40 X 22 40 X 34 56 X 46 80 X 46 88 X 58 88 X 70 104 X 82 120 X 94 136 X 106
논리 셀 수 3168 6768 11088 20880 30816 43632 53136 74448 99216 125136
KLB의 레지스터 수 2816 6016 9856 18560 27392 38784 47232 66176 88192 111232
분산 메모리 양, kbps 44 94 154 290 428 606 738 1034 1378 1738
블록 메모리 크기, kbps 216 504 792 1584 2 448 3456 4176 5904 7992 10008
승수 18x18 12 28 44 88 136 192 232 328 444 556
DCM 수 4 4 4 8 8 8 8 8 12 12
클록 주파수 DCM, MHz, 최소/최대. 24/420 24/420 24/420 24/420 24/420 24/420 -
-
-
-
스피드 그라데이션, 클래스 5,6,7
ICPC 204 348 396 564 692 804 852 996 1 164 1200
FG256 패키지의 MChPK(17x17mm) 140 140 - - - 416 - - - -
FG456(23x23mm) 156 248 248 - - 692 692 - - -
FG676(27x27mm) - - - 404 416 804 812 - - -
FF672(27x27mm) 204 348 396 - - - 852 964 - -
FF896(31x31mm) - - 396 556 556 - - 996 1040 1040
FF1152(35x35mm) - - - 564 644 - - - 1164 1200

Virtex-ll 및 Virtex-ll Pro 매트릭스의 아키텍처는 동일합니다. 대부분의 기술적 특성도 일치합니다(표 5). 두 제품군의 칩 간의 차이점은 다음과 같습니다.

• 주변 공급 전압의 하한값: Virtex-II 시리즈의 경우 2,5V 대 3,3V;
• Virtex-ll Pro의 더 높은 성능;
• Virtex-ll 시리즈 칩에서 만든 디자인을 Virtex-ll Pro 칩으로 전송할 수 있지만 다른 핀아웃 및 구성 순서;

Virtex-ll Pro 시리즈는 RocketIO 트랜시버와 PPC405 프로세서 코어가 내장된 최초의 FPGA FPGA 제품군입니다.

RocketIO는 2Mbps ~ 24Gbps의 대역폭으로 622개 ~ 3,125개 채널의 연결을 지원하는 전이중 직렬 트랜시버(SERDES)입니다. 양방향 데이터 전송 속도 -120GB/s. 각 채널에서 내부 피드백 루프가 가능합니다. 트랜시버에는 내장된 클록 생성 및 복구(CDR), 문자 삽입/삭제를 통한 주파수 균등화, 프로그래밍 가능한 쉼표 구분, 8, 16 또는 32비트 내부 인터페이스, 8/10비트 인코더, 및 디코더. RocketIO는 파이버 채널, 기가비트 이더넷, 10Gb XAUI(Attachment Unit Interface) 전송 프로토콜 및 광대역 트랜시버와 호환됩니다. 사용자 구성 가능한 내부 수신기/송신기 종단은 50/75옴입니다. 2,5가지 레벨의 출력 차동 전압이 제공되며 XNUMX가지 레벨의 프리엠퍼시스를 선택할 수 있습니다. 트랜시버 공급 전압 XNUMXV.

PowerPC 프로세서 장치는 Harvard 아키텍처, 400단계 파이프라인 데이터 전송 경로 및 하드웨어 곱셈/나누기를 사용하여 최대 32MHz의 클록 주파수를 가진 임베디드 코어입니다. 이 블록에는 16비트 범용 레지스터 64개, 연관 양방향 명령어 및 각각 1Kb 용량의 데이터 캐시, 메모리 관리 블록, 16입력 TLB(Translation Look Aside Buffers), 온보드 특수 메모리 인터페이스 . 페이지 크기는 0,9K에서 XNUMXMbps까지 다양합니다. 내장 타이머가 있습니다. 프로세서 장치는 IBM CoreConnect 버스 아키텍처, 디버그 및 추적 작업을 지원합니다. 소비 전력은 XNUMXmW/MHz로 낮습니다.

고성능 및 비용 효율성을 특징으로 하는 첨단 산업 기술을 기반으로 하는 Virtex 시리즈 FPGA는 전 세계 개발자들이 사용하는 프로그래밍 가능한 논리 회로의 주요 유형 중 하나입니다. 그리고 2002년 100월 출시 이후 Xilinx는 Virtex-ll Pro FPGA 칩을 기반으로 하는 XNUMX개 이상의 PowerPC 코어를 출하했습니다.

저자: M. Kuzelin; 간행물: cxem.net

다른 기사 보기 섹션 미세 회로의 응용.

읽고 쓰기 유용한 이 기사에 대한 의견.

<< 뒤로

과학 기술의 최신 뉴스, 새로운 전자 제품:

곤충용 에어트랩 01.05.2024

농업은 경제의 핵심 부문 중 하나이며 해충 방제는 이 과정에서 필수적인 부분입니다. 심라(Shimla)의 인도 농업 연구 위원회-중앙 감자 연구소(ICAR-CPRI)의 과학자 팀은 이 문제에 대한 혁신적인 해결책, 즉 풍력으로 작동되는 곤충 공기 트랩을 생각해냈습니다. 이 장치는 실시간 곤충 개체수 데이터를 제공하여 기존 해충 방제 방법의 단점을 해결합니다. 트랩은 전적으로 풍력 에너지로 구동되므로 전력이 필요하지 않은 환경 친화적인 솔루션입니다. 독특한 디자인으로 해충과 익충을 모두 모니터링할 수 있어 모든 농업 지역의 개체군에 대한 완전한 개요를 제공합니다. "적시에 대상 해충을 평가함으로써 우리는 해충과 질병을 모두 통제하는 데 필요한 조치를 취할 수 있습니다"라고 Kapil은 말합니다. ...>>

지구 자기장에 대한 우주 쓰레기의 위협 01.05.2024

우리는 지구를 둘러싼 우주 쓰레기의 양이 증가한다는 소식을 점점 더 자주 듣습니다. 그러나 이 문제를 일으키는 것은 활성 위성과 우주선뿐만 아니라 오래된 임무에서 발생한 잔해이기도 합니다. SpaceX와 같은 회사에서 발사하는 위성의 수가 증가하면 인터넷 발전의 기회가 생길 뿐만 아니라 우주 보안에 심각한 위협이 됩니다. 전문가들은 이제 지구 자기장에 대한 잠재적인 영향에 관심을 돌리고 있습니다. 하버드-스미소니언 천체물리학 센터의 조나단 맥도웰(Jonathan McDowell) 박사는 기업들이 위성군을 빠르게 배치하고 있으며 향후 100년 안에 위성 수가 000개까지 늘어날 수 있다고 강조합니다. 이러한 우주 위성 함대의 급속한 발전은 지구의 플라즈마 환경을 위험한 잔해로 오염시키고 자기권의 안정성을 위협할 수 있습니다. 사용한 로켓의 금속 파편은 전리층과 자기권을 교란시킬 수 있습니다. 이 두 시스템 모두 대기를 보호하고 유지하는 데 중요한 역할을 합니다. ...>>

벌크 물질의 고형화 30.04.2024

과학의 세계에는 꽤 많은 미스터리가 있는데, 그 중 하나는 벌크 재료의 이상한 거동입니다. 그들은 고체처럼 행동하다가 갑자기 흐르는 액체로 변할 수 있습니다. 이 현상은 많은 연구자들의 관심을 끌었고, 우리는 마침내 이 미스터리를 푸는 데 가까워질 수 있습니다. 모래시계 속의 모래를 상상해 보세요. 일반적으로 자유롭게 흐르지만 어떤 경우에는 입자가 막히기 시작하여 액체에서 고체로 변합니다. 이러한 전환은 의약품 생산에서 건설에 이르기까지 많은 분야에 중요한 영향을 미칩니다. 미국의 연구자들은 이 현상을 설명하고 이를 이해하는 데 더 가까워지려고 시도했습니다. 이번 연구에서 과학자들은 폴리스티렌 구슬 봉지에서 얻은 데이터를 사용하여 실험실에서 시뮬레이션을 수행했습니다. 그들은 이 세트 내의 진동이 특정 주파수를 가지고 있다는 것을 발견했습니다. 이는 특정 유형의 진동만 재료를 통해 이동할 수 있음을 의미합니다. 받았다 ...>>

아카이브의 무작위 뉴스

신맛이 나는 음료는 사람들을 위험에 빠뜨립니다. 29.06.2018

Sussex 대학의 연구원은 산성 음료를 마시는 것이 예상치 못한 효과를 발견했습니다. 신맛이 나는 칵테일을 마신 후 도박과 관련하여 위험을 회피하게 되는 것으로 나타났습니다. 사실 신맛은 위험과 관련이 있습니다. 이것은 사람들로 하여금 더 오래 플레이하고 더 큰 베팅을 하도록 합니다.

실험에는 168명이 참여했습니다. 과학자들은 자원자들에게 버튼을 사용하여 가상 풍선을 부풀리도록 요청했습니다. 상금은 버튼 클릭 수와 함께 증가했습니다. 그러나 공은 언제든지 터질 수 있습니다. 테스트 중에 전문가들은 참가자들에게 다양한 음료를 제공했습니다.

과학자들은 실험 중에 산성 음료를 맛본 지원자들이 다른 사람들보다 위험을 감수할 가능성이 40% 더 높다는 것을 발견했습니다. 오히려 단 음료와 단백질 맛(감칠맛)이 나는 칵테일은 사람들을 더욱 조심스럽게 만들었다. 신 음료는 참가자들이 평균적으로 더 많은 돈을 버는 데 도움이 되었습니다. 그러나 그들의 풍선은 다른 맛의 칵테일을 마신 자원 봉사자보다 더 자주 터졌습니다.

다른 흥미로운 소식:

▪ 체르노빌 면역

▪ 핸드백 조명

▪ 최초로 추정된 외계 행성 자기장

▪ 꿀벌은 꽃가루를 붙잡고

▪ 시민 불안에 대한 수온의 영향

과학 기술 뉴스 피드, 새로운 전자 제품

 

무료 기술 라이브러리의 흥미로운 자료:

▪ 사이트 전기 기술자 핸드북 섹션. 기사 선택

▪ 기사 Alexandre Dumas (아버지). 유명한 격언

▪ 기사 직접 기타를 연주할 수 없었던 유명 일렉트릭 기타 디자이너는 누구입니까? 자세한 답변

▪ 기사 토치로 작업하기. 노동 보호에 관한 표준 지침

▪ 기사 거울 수리. 간단한 레시피와 팁

▪ 기사 동전은 어느 손에 있습니까? 초점 비밀. 포커스 시크릿

이 기사에 대한 의견을 남겨주세요:

이름 :


이메일(선택사항):


댓글 :





이 페이지의 모든 언어

홈페이지 | 도서관 | 조항 | 사이트 맵 | 사이트 리뷰

www.diagram.com.ua

www.diagram.com.ua
2000-2024